Sitemap - 2021 - SemiAnalysis

TSMC Wants To Make Intel Dependent On External Manufacturing - Wafer Supply Agreement Insights For AMD, Apple, Broadcom, Intel, MediaTek, Nvidia, and Qualcomm

TSMC Wants To Make Intel Dependent On External Manufacturing - Wafer Supply Agreement Insights For AMD, Apple, Broadcom, Intel, MediaTek, Nvidia, and Qualcomm

Advanced Packaging Part 1 – Pad Limited Designs, Breakdown Of Economic Semiconductor Scaling, Heterogeneous Compute, and Chiplets

Amazon Graviton 3 Uses Chiplets & Advanced Packaging To Commoditize High Performance CPUs | The First PCIe 5.0 And DDR5 Server CPU

Lam Research, Tokyo Electron, JSR Battle It Out In The $5B+ EUV Photoresist, Coater, and Developer Market - CAR vs MOR vs Dry Resist

Intel Betting The Farm – Shrinking Business, Margins Down For Few Years, But Aggressively Investing $40B-$43B A Year And More With Subsidies

Qualcomm RFFE Business Continues Relentless Pace With ultraBAW Filters | >$8B Segment By 2025

TSMC 3nm Wafer Shipments Pushed Into Q1 2023, 2.5 Years After N5 | TSMC 2nm, Samsung 2nm, And Intel 20A Battle It Out In 2025

ASML’s EUV Tools Have A Throughput Problem, But Lyncean Has The Answer By Scaling EUV Light Source Power An Order Of Magnitude

GlobalFoundries $GFS IPO – Mubadala Lost Over $22.4B, Now They Are Hoping Public Markets Bail Them Out

Apple A15 Die Shot and Annotation - IP Block Area Analysis

China Has Built The World’s Most Expensive Silicon Carbide Fab, But Numbers Don’t Add Up

Aehr Multi-Wafer Level Burn-in Test for Silicon Carbide and Silicon Photonics Applications

Memory Oligopoly Woes - Micron Says They Will Not Increase Wafer Output In DRAM Or NAND For The Foreseeable Future

The Impending Chinese NAND Apocalypse – YMTC 128 Layer NAND Is The First Semiconductor Where China Is Technologically Competitive

Kulicke & Soffa Industries, $KLIC, Continued Outperformance Of Wire Bonders And Emerging Supplier in Mini-LED, Micro-LED, And Battery Manufacturing

Apple CPU Gains Grind To A Halt And The Future Looks Dim As The Impact From The CPU Engineer Exodus To Nuvia And Rivos Starts To Bleed In

Will The China Real Estate Meltdown End The Semiconductor Shortage?

Advanced Packaging The Size Of Your Monitor | Applied Materials, $AMAT, Modifies Panel PVD Tool For 60x60cm Fan Outs To Usher In A New Age Of Mega Packages

No, The iPhone 13 Does Not Have Satellite Internet | Band n53 & Globalstar (GSAT) Explained

NXP Semiconductor (NXPI) CFO Sells Nearly 70% Of His Shares As Samsung Acquisition Rumored To Fall Apart

Rivos Inc | A Chip Off The Old Block? New RISC-V Startup Garners Many Senior CPU Architects From Apple, Google, Marvell, Qualcomm, Intel, and AMD

A Chip Off The Old Block? New RISC-V Startup Garners Many Senior CPU Architects From Apple, Google, Marvell, Qualcomm, Intel, and AMD

The Semiconductor Heist Of The Century | Arm China Has Gone Completely Rogue, Operating As An Independent Company With Inhouse IP/R&D

The Tesla Dojo Chip Is Impressive, But There Are Some Major Technical Issues

Tesla Dojo - Unique Packaging and Chip Design Allow An Order Magnitude Advantage Over Competing AI Hardware

Kulicke & Soffa Industries, $KLIC, Crushes Earnings But Continues To Sandbag, $6 EPS '21, $6.75 EPS '22

Tesla AI Day Supercomputer Chip Teaser | Is This The First Deployment Of TSMC InFO_SoW?

Fears for Chinese Semiconductor Capital Equipment and Chemical Supply Chain Are Overblown | Cautionary Tale On Trusting Industry Analysts

Power Integrations, $POWI, A Premier ESG Play Semiconductor Exposure To Electric Vehicles, Green Energy, And Power Efficiency Regulations

Intel Grossly Exaggerates Foundry “Wins” With Qualcomm And Amazon, There Are No Deals For Manufacturing Chips

MediaTek Officially Enters The Flagship Mobile Race With The First TSMC 4nm SOC

Qualcomm/MediaTek Will Beat Apple To Shipping TSMC 3nm Based Smartphone Chips

Nvidia’s 2021 Bubble, Eerily Similar To Other Bubbles That Came Before

Graphcore Looks Like A Complete Failure In Machine Learning Training Performance

Micron Sells 3D X-Point Lehi, Utah Fab to Texas Instruments For $1.5B

Cerebras Wafer Scale Hardware Crushes High Performance Computing Workloads Including Machine Learning And Beyond

Samsung Foundry 3nm Gate All Around Process Node, 3GAE, Delayed To As Late As 2024

Qualcomm MWC 2021 - Network Infrastructure And Edge 5G Get Supercharged | FSM200, DU X100 Accelerator, And Range Of Features

Tenstorrent Wormhole Analysis - A Scale Out Architecture for Machine Learning That Could Put Nvidia On Their Back Foot

Morgan Stanley Just Reduced TSMC’s Value By $25B While Demonstrating A Complete Lack of Semiconductor Knowledge

Rockley Photonics Will Revolutionize Healthcare By Measuring Biomarkers Such As Glucose With Lasers In The Apple Watch

GlobalFoundries Is A Leading-Edge Foundry Despite Claims Otherwise

Qualcomm Hits a Homerun AI 100 - Powerful AI Inference Acceleration For the Edge

Intel’s Trojan Horse into the Foundry Business | Co-packaged Silicon Photonics is Intel’s Path Forward for IDM 2.0

Google New Custom Silicon Replaces 10 Million Intel CPUs | Google Argos VPU

Nvidia is Raking in the Money, but are Earnings Inflated?

Semi Supply Chain Cutting Expectations as Phone Sales Plummet

Trailing Edge is Going Gangbusters ($KLIC)

Qualcomm Lost the iPhone 12 mmWave Antenna Module Contract to a Chinese Company

Apple’s A14 Packs 134 Million Transistors/mm², but Falls Short of TSMC’s Density Claims

Mediatek Stands to Gain the Most from the New Cold War

LAM Research (LRCX) Dry Deposit and Resist Could Become a Multi-Billion Dollar Business

Moore’s Law is Dead for DRAM and that is Great for SemiCap

Bridging the gap between business and the worlds most important industry.